CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl project

搜索资源列表

  1. ZHILIUDIANJI

    0下载:
  2. EDA直流电机项目设计,能实现加速 减速 方向控制。-EDA DC project design, to achieve directional control of accelerating and decelerating.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:43936
    • 提供者:ffx
  1. viterbi213

    0下载:
  2. 编码方式为213的Viterbi卷积码编码器和译码器的FPGA的实现,包含整个QuartusII的工程文件,解码方式为寄存器交换法-Encoding for the 213 convolutional code encoder and Viterbi decoder FPGA realization of the project file that contains the entire QuartusII, decoding method for the register exchange
  3. 所属分类:Other systems

    • 发布日期:2016-05-19
    • 文件大小:2668524
    • 提供者:jenny
  1. DE2_70_AUDIO

    0下载:
  2. 是用VERILOG HDL和NIOS II C/C++ 编的DE2-70板子的音频编解码芯片的使用工程-Is VERILOG HDL and NIOS II C/C++ code of the DE2-70 board in the audio codec chip, the use of project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:21566708
    • 提供者:覃建策
  1. crc

    0下载:
  2. crc project by vhdl -crc project by vhdl ..............
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1340
    • 提供者:mohammed
  1. cpu

    0下载:
  2. 设计以及基本的CPU,至少包括四个基本单元,控制单元,内部寄存器,ALU和指令集-The purpose of this project is to design a simple CPU (Central Processing Unit). This CPU has basic instruction set, and we will utilize its instruction set to generate a very simple program to verify its perf
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2196060
    • 提供者:mollyma
  1. UART_prj_ViHDL

    0下载:
  2. vhdl project at sbu uni in iran uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:69395
    • 提供者:moxi
  1. 64R4SDFpoint_FFT

    3下载:
  2. 该工程实现了一个64点FFT,verilog编写,采用R4SDF结构,通过Modelsim功能仿真,压缩包里有rtl代码,dc脚本,输出报告。-The project implements a 64-point FFT, verilog compiled by R4SDF structure, through the Modelsim functional simulation, compression bag with rtl code, dc scr ipt, the output repo
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-04
    • 文件大小:1255028
    • 提供者:ShuChen
  1. 64pointFFTR2MDC

    6下载:
  2. 该工程实现了一个64点DIF FFT,verilog编写,采用R2MDC结构,通过Modelsim功能仿真,压缩包里有rtl代码,dc脚本,输出报告。-The project implements a 64-point DIF FFT, verilog compiled by R2MDC structure, through the Modelsim functional simulation, compression bag with rtl code, dc scr ipt, the out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-08
    • 文件大小:673140
    • 提供者:ShuChen
  1. vcsVHDL

    0下载:
  2. 用VCS进行VHDL开发的一些文档,很有用的哦-some document for exploere VHDL project with VCS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:565625
    • 提供者:rex
  1. project

    0下载:
  2. 介绍了利用VHDL硬件描述语言设计的简易数字钟的思路和技巧。在QuatusⅡ开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下载验证。仿真和验证结果表明,该设计方法切实可行,具有一定的借鉴性。-digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:362176
    • 提供者:wb
  1. IFFT

    0下载:
  2. 这是关于傅里叶反变换的一个完整的ISE的工程..使用verilog语言-This is on the Fourier transform of a complete anti-ISE project using the verilog language ..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:422263
    • 提供者:leo
  1. DMX512_2_23

    1下载:
  2. 本系统设计利用FPGA设计了一个接在电脑串口上的一个DMX512协议的转接卡,它可以让你的电脑变成一台超强的电脑灯控制台或者调光台、LED控制器等。通过电脑软件,可以控制电脑灯或者其他DMX512协议的设备,比如LED灯、激光灯、PAR灯、DJ设备等等。 本系统还有体积小巧携带方便等特点,足够一般的娱乐场所、多功能厅、会议厅等场所使用,同时采用电脑进行灯光的控制,也可以提升工程的技术含量,显得更高科技。通过简单更改DMX模块的UART部分,还可以将串口转换usb接口,不过由于手头上的FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2223605
    • 提供者:swekey
  1. project

    0下载:
  2. vhdl souce code for simple basic components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1030737
    • 提供者:sandeep
  1. project

    0下载:
  2. dsp lab programs using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1423988
    • 提供者:sandeep
  1. hdbn

    0下载:
  2. HDBN VHDL Project includes hdb3 & hdbn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:198482
    • 提供者:ebi
  1. vhdl

    0下载:
  2. 慢码的vhdl,编码,解码,现在在做一个红外线的project.RC5 生成一个信号个给检测器,检测器会产生一组14 bits的数据流(是一组 曼彻斯特编码)。想用VHDL做一个解码器。-Slow code vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:707
    • 提供者:hm
  1. sipo8

    0下载:
  2. 串入并出源代码,可进行8位数据的串/并转换。其中包括QUARTUS2的完整工程,有正确的仿真波形供参考。-In series and the source code, can be 8-bit data series/parallel conversion. Including QUARTUS2 complete project,and the correct simulation waveform for reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:217522
    • 提供者:simulin_2008
  1. piso8

    0下载:
  2. 并/串转换的VHDL源代码,其中包括完整的QUARTUS2工程,还有正确的仿真波形。串行,并行数据 -Serial/parallel conversion ,VHDL source code, including complete QUARTUS2 project, and the correct simulation waveform file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:223220
    • 提供者:simulin_2008
  1. fpu100_latest.tar

    0下载:
  2. This a 32-bit floating point unit (FPU), which I developed in a project within the Vienna University of Technology. It can do arithmetic operations on floating point numbers. The FPU complies fully with the IEEE 754 Standard-This is a 32-bit floating
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1970362
    • 提供者:amin
  1. VHDL-quick-start

    0下载:
  2. descr iption of VHDL Quick introduction to VHDL – basic language concepts – basic design methodology • Use The Student’s Guide to VHDL or The Designer’s Guide to VHDL – self-learning for more depth – reference for project work-desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:84424
    • 提供者:lavanya
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 26 »
搜珍网 www.dssz.com